summaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorSuren A. Chilingaryan <csa@suren.me>2014-03-19 23:12:31 +0100
committerSuren A. Chilingaryan <csa@suren.me>2014-03-19 23:12:31 +0100
commitef4f563559f195672d07114670a461a7c55ea22b (patch)
tree300e583a062fd7fe017d59fc68edef5d84184a85 /tests
parent3b410e54d3925a903adb2cc479177afc579c7679 (diff)
downloadpcitool-ef4f563559f195672d07114670a461a7c55ea22b.tar.gz
pcitool-ef4f563559f195672d07114670a461a7c55ea22b.tar.bz2
pcitool-ef4f563559f195672d07114670a461a7c55ea22b.tar.xz
pcitool-ef4f563559f195672d07114670a461a7c55ea22b.zip
Add HEB scripts and re-organize the structure
Diffstat (limited to 'tests')
-rwxr-xr-xtests/dma/debug/test-iommu.sh19
-rwxr-xr-xtests/dma/nwl/bench.sh (renamed from tests/bench_nwl.sh)0
-rwxr-xr-xtests/dma/nwl/cleanup.sh (renamed from tests/cleanup.sh)0
-rwxr-xr-xtests/dma/xilinx/xilinx_dma.sh (renamed from tests/xilinx_dma.sh)0
-rwxr-xr-xtests/frame2.sh37
-rwxr-xr-xtests/heb/DataTaking.sh96
-rwxr-xr-xtests/heb/cfg/BoardOFF.sh11
-rwxr-xr-xtests/heb/cfg/BoardOn.sh57
-rw-r--r--tests/heb/cfg/README11
-rwxr-xr-xtests/heb/cfg/Set_ADC_1_Delay.sh10
-rwxr-xr-xtests/heb/cfg/Set_ADC_2_Delay.sh10
-rwxr-xr-xtests/heb/cfg/Set_ADC_3_Delay.sh10
-rwxr-xr-xtests/heb/cfg/Set_ADC_4_Delay.sh11
-rwxr-xr-xtests/heb/cfg/Set_Default.sh30
-rwxr-xr-xtests/heb/cfg/Set_Delay_chip.sh11
-rwxr-xr-xtests/heb/cfg/Set_FPGA_clock_delay.sh10
-rwxr-xr-xtests/heb/cfg/Set_TH_Delay.sh10
-rwxr-xr-xtests/heb/debug/debug.sh45
-rwxr-xr-xtests/heb/debug/request_data.sh27
-rwxr-xr-xtests/ipecamera/bench.sh (renamed from tests/bench_ipecamera.sh)0
-rwxr-xr-xtests/ipecamera/cfg/Reset_Init_all_reg_10bit.sh (renamed from tests/ipecamera/Reset_Init_all_reg_10bit.sh)0
-rwxr-xr-xtests/ipecamera/cfg/Reset_Init_all_reg_10bit_4Mp.sh (renamed from tests/ipecamera/Reset_Init_all_reg_10bit_4Mp.sh)0
-rwxr-xr-xtests/ipecamera/cfg/Reset_Init_all_reg_11bit.sh (renamed from tests/ipecamera/Reset_Init_all_reg_11bit.sh)0
-rwxr-xr-xtests/ipecamera/cfg/Reset_Init_all_reg_12bit.sh (renamed from tests/ipecamera/Reset_Init_all_reg_12bit.sh)0
-rwxr-xr-xtests/ipecamera/debug/incomplete.sh (renamed from tests/incomplete.sh)0
-rwxr-xr-xtests/ipecamera/frame.sh (renamed from tests/frame.sh)0
-rwxr-xr-xtests/ipecamera/frame_req.sh (renamed from tests/frame_req.sh)0
-rwxr-xr-xtests/ipecamera/grab-hwtrigger.sh (renamed from tests/grabhw.sh)0
-rwxr-xr-xtests/ipecamera/stimuli.sh (renamed from tests/stimuli.sh)0
-rwxr-xr-xtests/ipecamera/tests/loopback-test.sh (renamed from tests/loopback-test.sh)2
-rwxr-xr-xtests/ipecamera/tests/run-and-decode-test.sh (renamed from tests/run-and-decode-test.sh)4
31 files changed, 371 insertions, 40 deletions
diff --git a/tests/dma/debug/test-iommu.sh b/tests/dma/debug/test-iommu.sh
new file mode 100755
index 0000000..74e3ece
--- /dev/null
+++ b/tests/dma/debug/test-iommu.sh
@@ -0,0 +1,19 @@
+#! /bin/bash
+
+i=1
+while [ 1 ]; do
+ pci --start-dma dma1r
+ for name in /sys/class/fpga/fpga0/kbuf*; do
+ bus_addr=0x`cat $name | grep "bus addr" | cut -d ':' -f 2 | sed -e 's/\s\+//g'`
+ if [ $((bus_addr % 4096)) -ne 0 ]; then
+
+ echo "Failed at iteration $i, $name"
+ echo "----------------------"
+ cat $name
+ exit
+ fi
+
+ done
+ pci --stop-dma dma1r
+ i=$((i + 1))
+done
diff --git a/tests/bench_nwl.sh b/tests/dma/nwl/bench.sh
index b36c251..b36c251 100755
--- a/tests/bench_nwl.sh
+++ b/tests/dma/nwl/bench.sh
diff --git a/tests/cleanup.sh b/tests/dma/nwl/cleanup.sh
index 5ef568e..5ef568e 100755
--- a/tests/cleanup.sh
+++ b/tests/dma/nwl/cleanup.sh
diff --git a/tests/xilinx_dma.sh b/tests/dma/xilinx/xilinx_dma.sh
index 6aada8b..6aada8b 100755
--- a/tests/xilinx_dma.sh
+++ b/tests/dma/xilinx/xilinx_dma.sh
diff --git a/tests/frame2.sh b/tests/frame2.sh
deleted file mode 100755
index 2795caf..0000000
--- a/tests/frame2.sh
+++ /dev/null
@@ -1,37 +0,0 @@
-#!/bin/bash
-
-function pci {
- PCILIB_PATH="/root/pcitool"
- LD_LIBRARY_PATH="$PCILIB_PATH" $PCILIB_PATH/pci $*
-}
-
-pci --stop-dma dma1
-#pci --reset
-
-echo "Set packet size 1024 .. "
-pci -w cmosis_number_lines 1088
-#pci -w xrawdata_packet_length 4096
-pci --start-dma dma1
-usleep 1000
-
-echo "Send frame request ... "
-pci -w control 1e9
-usleep 1000
-pci -w control 1e1
-usleep 300000
-pci -w control 1e9
-usleep 1000
-pci -w control 1e1
-usleep 3000
-
-echo "Enable Readout ... "
-pci -w control 3e1
-
-usleep 100000
-
-pci -r dma1 -o bench.out --multipacket --timeout 1000000
-
-pci -w control 1e1
-
-pci --stop-dma dma1
-
diff --git a/tests/heb/DataTaking.sh b/tests/heb/DataTaking.sh
new file mode 100755
index 0000000..b6046d7
--- /dev/null
+++ b/tests/heb/DataTaking.sh
@@ -0,0 +1,96 @@
+#!/bin/bash
+
+#Channel 1 --> 05
+#Channel 2 --> 0B
+#Channel 1&2 --> 0F
+#Channel 3 --> 13
+#Channel 4 --> 23
+#Channel 3&4 --> 33
+#ALL --> 3F
+
+#rm *.out
+pci -r dma1 --multipacket -o /dev/null
+
+echo "Start DMA ..... "
+#pci --start-dma dma1
+sleep 0.2
+
+echo "Data Reset ... "
+pci -w 0x9040 000003f1
+sleep 0.1
+pci -w 0x9040 000003f0
+
+sleep 0.1
+#echo "Pilot bunch emulator ..... "
+#pci -w 0x9040 400003f0
+sleep 0.2
+pci -w 0x9040 03f0
+#pci -r 0x9000 -s 40
+#sleep 0.2
+echo "Start data pci. ..... "
+pci -w 0x9040 00bf0
+
+sleep 2
+
+echo "Stop data acquis...... "
+pci -w 0x9040 003f0
+pci -r 0x9000 -s 40
+sleep 0.1
+echo "Enable data transfer.... "
+pci -w 0x9040 007f0
+exit
+sleep 2
+pci -r dma1 -o run_num_$1.out --multipacket
+sleep 2
+
+pci -w 0x9040 003f0
+#echo "Status ... "
+
+
+
+pci -r 0x9000 -s 40
+
+ status=`pci -r 0x9050 -s 1 | awk '{print $2$3$4}'`
+ if [ "$status" != "85000021" ]; then
+ echo "--------------------------------->>>> ERROR! ... "
+ error=1
+ exit
+ else
+ echo " Status 1 -> OK "
+ fi
+
+ status=`pci -r 0x9000 -s 1 | awk '{print $2$3$4}'`
+ if [ "$status" != "01000021" ]; then
+ echo "--------------------------------->>>> ERROR! ... "
+ error=1
+ exit
+ else
+ echo " Status 1 Readout -> OK "
+ fi
+
+status=`pci -r 0x9008 -s 1 | awk '{print $2$3$4}'`
+ if [ "$status" != "01000021" ]; then
+ echo "--------------------------------->>>> ERROR! ... "
+ error=1
+ exit
+ else
+ echo " Status 2 Readout -> OK "
+ fi
+
+status=`pci -r 0x9010 -s 1 | awk '{print $2$3$4}'`
+ if [ "$status" != "01000021" ]; then
+ echo "--------------------------------->>>> ERROR! ... "
+ error=1
+ exit
+ else
+ echo " Status 3 Readout -> OK "
+ fi
+
+status=`pci -r 0x9018 -s 1 | awk '{print $2$3$4}'`
+ if [ "$status" != "01000021" ]; then
+ echo "--------------------------------->>>> ERROR! ... "
+ error=1
+ exit
+ else
+ echo " Status 4 Readout -> OK "
+ fi
diff --git a/tests/heb/cfg/BoardOFF.sh b/tests/heb/cfg/BoardOFF.sh
new file mode 100755
index 0000000..351bcb8
--- /dev/null
+++ b/tests/heb/cfg/BoardOFF.sh
@@ -0,0 +1,11 @@
+#!/bin/bash
+
+
+echo " ************************************************************** "
+echo " Board OFF"
+echo " ************************************************************** "
+
+pci -w 0x9040 0x01
+sleep 0.5
+
+pci --stop-dma dma1
diff --git a/tests/heb/cfg/BoardOn.sh b/tests/heb/cfg/BoardOn.sh
new file mode 100755
index 0000000..b14c73b
--- /dev/null
+++ b/tests/heb/cfg/BoardOn.sh
@@ -0,0 +1,57 @@
+#!/bin/bash
+
+echo " ************************************************************** "
+echo " Start DMA"
+echo " ************************************************************** "
+
+pci --start-dma dma1
+sleep 0.5
+pci --list-dma-engines
+
+
+echo " ************************************************************** "
+echo " Board ON procedure"
+echo " ************************************************************** "
+
+pci -w 0x9040 0x01
+sleep 1
+
+echo "switch ON the power supply --> FIRST <--"
+echo "Press a key to continue ...."
+read -n 1 -s
+
+echo "Switch ON T/Hs"
+pci -w 0x9040 0x3C1
+pci -r 0x9040 -s1
+
+echo "switch ON the power supply --> SECOND <--"
+echo "Press a key to continue ...."
+read -n 1 -s
+
+echo "Switch ON ADCs"
+pci -w 0x9040 0x3F1
+pci -r 0x9040 -s1
+sleep 0.1
+
+
+pci -w 0x9040 0x3F0
+pci -r 0x9040 -s1
+sleep 1
+
+echo " Status ................... "
+pci -r 0x9000 -s 40
+
+
+
+./PLL_conf_calib_3001.sh
+
+echo " Status ................... "
+pci -r 0x9000 -s 40
+
+
+echo " ************************************************************** "
+echo " Board Ready"
+echo " ************************************************************** "
+
+
+echo " --> remember to run: ./Set_Default.sh"
diff --git a/tests/heb/cfg/README b/tests/heb/cfg/README
new file mode 100644
index 0000000..125bc0d
--- /dev/null
+++ b/tests/heb/cfg/README
@@ -0,0 +1,11 @@
+ - Initializing
+ ./BoardOn.sh
+ ./Set_Default.sh
+
+ - Configuring the size
+ pci -w 9020 0x20 (linear scale)
+
+ * Number of samples are determined by 0x9020 / 0x9028 (0x0e by default)
+ * For each sample is descibed by (184 / 2) * 128 bits
+ * Where most-significant bytes of 4 dwords (128 bit) are iterating
+ from 0x00 to 0xb8 with step 0x02
diff --git a/tests/heb/cfg/Set_ADC_1_Delay.sh b/tests/heb/cfg/Set_ADC_1_Delay.sh
new file mode 100755
index 0000000..bd8f980
--- /dev/null
+++ b/tests/heb/cfg/Set_ADC_1_Delay.sh
@@ -0,0 +1,10 @@
+#!/bin/bash
+
+echo "Set CH_4 clock ADC 1 delay... "
+
+upfix=000501
+fixed=4
+
+ hex_val=$(printf "%01x\n" $1)
+ echo "Set $hex_val --> Time value picosecond = `expr $1 "*" 150`."
+ pci -w 0x9060 $upfix$hex_val$fixed
diff --git a/tests/heb/cfg/Set_ADC_2_Delay.sh b/tests/heb/cfg/Set_ADC_2_Delay.sh
new file mode 100755
index 0000000..d6cdf75
--- /dev/null
+++ b/tests/heb/cfg/Set_ADC_2_Delay.sh
@@ -0,0 +1,10 @@
+#!/bin/bash
+
+echo "Set CH_5 clock ADC 2 delay... "
+
+upfix=000501
+fixed=5
+
+ hex_val=$(printf "%01x\n" $1)
+ echo "Set $hex_val --> Time value picosecond = `expr $1 "*" 150`."
+ pci -w 0x9060 $upfix$hex_val$fixed
diff --git a/tests/heb/cfg/Set_ADC_3_Delay.sh b/tests/heb/cfg/Set_ADC_3_Delay.sh
new file mode 100755
index 0000000..567b977
--- /dev/null
+++ b/tests/heb/cfg/Set_ADC_3_Delay.sh
@@ -0,0 +1,10 @@
+#!/bin/bash
+
+echo "Set CH_6 clock ADC 3 delay... "
+
+upfix=000501
+fixed=6
+
+ hex_val=$(printf "%01x\n" $1)
+ echo "Set $hex_val --> Time value picosecond = `expr $1 "*" 150`."
+ pci -w 0x9060 $upfix$hex_val$fixed
diff --git a/tests/heb/cfg/Set_ADC_4_Delay.sh b/tests/heb/cfg/Set_ADC_4_Delay.sh
new file mode 100755
index 0000000..d666595
--- /dev/null
+++ b/tests/heb/cfg/Set_ADC_4_Delay.sh
@@ -0,0 +1,11 @@
+#!/bin/bash
+
+echo "Set CH_7 clock ADC 4 delay... "
+
+upfix=000501
+fixed=7
+
+ hex_val=$(printf "%01x\n" $1)
+ echo "Set $hex_val --> Time value picosecond = `expr $1 "*" 150`."
+ pci -w 0x9060 $upfix$hex_val$fixed
+
diff --git a/tests/heb/cfg/Set_Default.sh b/tests/heb/cfg/Set_Default.sh
new file mode 100755
index 0000000..0149bf0
--- /dev/null
+++ b/tests/heb/cfg/Set_Default.sh
@@ -0,0 +1,30 @@
+#!/bin/bash
+
+echo "Set Defaults delay value in the board... "
+
+./Set_FPGA_clock_delay.sh 0
+sleep 0.1
+
+ ./Set_Delay_chip.sh 16 16 16 16
+sleep 0.1
+
+./Set_TH_Delay.sh 12
+sleep 0.1
+
+./Set_ADC_1_Delay.sh 5
+sleep 0.1
+
+./Set_ADC_2_Delay.sh 5
+sleep 0.1
+
+./Set_ADC_3_Delay.sh 5
+sleep 0.1
+
+./Set_ADC_4_Delay.sh 5
+
+#pci -w 0x9020 200b20
+pci -w 0x9020 20
+pci -w 0x9028 e
+
+echo " DONE ................ "
+
diff --git a/tests/heb/cfg/Set_Delay_chip.sh b/tests/heb/cfg/Set_Delay_chip.sh
new file mode 100755
index 0000000..3d15cad
--- /dev/null
+++ b/tests/heb/cfg/Set_Delay_chip.sh
@@ -0,0 +1,11 @@
+#!/bin/bash
+
+ zero=0
+ hex_val1=$(printf "%02x\n" $1)
+ hex_val2=$(printf "%02x\n" $2)
+ hex_val3=$(printf "%02x\n" $3)
+ hex_val4=$(printf "%02x\n" $4)
+
+ pci -w 0x9080 $zero$hex_val4$hex_val3$hex_val2$hex_val1
+ pci -r 0x9080 -s 1
+ sleep 0.5
diff --git a/tests/heb/cfg/Set_FPGA_clock_delay.sh b/tests/heb/cfg/Set_FPGA_clock_delay.sh
new file mode 100755
index 0000000..f4d0f46
--- /dev/null
+++ b/tests/heb/cfg/Set_FPGA_clock_delay.sh
@@ -0,0 +1,10 @@
+#!/bin/bash
+
+echo "Set delay on T/Hs signals... "
+
+upfix=000501
+fixed=0
+
+ hex_val=$(printf "%01x\n" $1)
+ echo "Set $hex_val --> Time picosecond = `expr $1 "*" 150`."
+ pci -w 0x9060 $upfix$hex_val$fixed
diff --git a/tests/heb/cfg/Set_TH_Delay.sh b/tests/heb/cfg/Set_TH_Delay.sh
new file mode 100755
index 0000000..9a6e58f
--- /dev/null
+++ b/tests/heb/cfg/Set_TH_Delay.sh
@@ -0,0 +1,10 @@
+#!/bin/bash
+
+echo "Set delay on T/Hs signals... "
+
+upfix=000501
+fixed=3
+
+ hex_val=$(printf "%01x\n" $1)
+ echo "Set $hex_val --> Time picosecond = `expr $1 "*" 150`."
+ pci -w 0x9060 $upfix$hex_val$fixed
diff --git a/tests/heb/debug/debug.sh b/tests/heb/debug/debug.sh
new file mode 100755
index 0000000..2db21d4
--- /dev/null
+++ b/tests/heb/debug/debug.sh
@@ -0,0 +1,45 @@
+#! /bin/bash
+
+SCRIPT_PATH="`dirname \"$0\"`"
+SCRIPT_PATH="`( cd \"$TESTS_PATH\" && pwd )`"
+PCILIB_PATH=${SCRIPT_PATH%/tests/*}
+
+function pci {
+ LD_LIBRARY_PATH="$PCILIB_PATH" $PCILIB_PATH/pci $*
+}
+
+function strip_bad_values {
+ LD_LIBRARY_PATH="$PCILIB_PATH" $PCILIB_PATH/apps/heb_strip_bad_values $*
+}
+
+function request_data {
+ $PCILIB_PATH/tests/heb/debug/request_data.sh $*
+}
+
+while [ 1 ]; do
+ from=`pci --list-dma-engines | grep C2S | sed -s 's/\s\+/ /g' | cut -d ' ' -f 6`
+ to=`pci --list-dma-engines | grep C2S | sed -s 's/\s\+/ /g' | cut -d ' ' -f 8`
+
+ if [ $from -gt $to ]; then
+ buffers="`seq $from 255` `seq 0 $to`"
+ else
+ buffers=`seq $from $to`
+ fi
+
+ echo $buffers
+
+ rm data.out
+ for i in $buffers; do
+ pci --read-dma-buffer dma1r:$i -o data.out
+ done
+
+
+ error=`strip_bad_values data.out | head -n 1 | cut -f 1 -d ':'`
+ if [ $error != "0x1140" ]; then
+ echo "Problems found"
+ exit
+ else
+ echo "Fine"
+ request_data
+ fi
+done
diff --git a/tests/heb/debug/request_data.sh b/tests/heb/debug/request_data.sh
new file mode 100755
index 0000000..b751f5d
--- /dev/null
+++ b/tests/heb/debug/request_data.sh
@@ -0,0 +1,27 @@
+#!/bin/bash
+
+pci -r dma1 --multipacket -o /dev/null
+
+#echo "Data Reset ... "
+pci -w 0x9040 000003f1
+sleep 0.1
+pci -w 0x9040 000003f0
+
+sleep 0.1
+#echo "Pilot bunch emulator ..... "
+#pci -w 0x9040 400003f0
+sleep 0.2
+pci -w 0x9040 03f0
+#pci -r 0x9000 -s 40
+#sleep 0.2
+#echo "Start data pci. ..... "
+pci -w 0x9040 00bf0
+
+sleep 2
+
+#echo "Stop data acquis...... "
+pci -w 0x9040 003f0
+pci -r 0x9000 -s 40
+sleep 0.1
+#echo "Enable data transfer.... "
+pci -w 0x9040 007f0
diff --git a/tests/bench_ipecamera.sh b/tests/ipecamera/bench.sh
index 09edae9..09edae9 100755
--- a/tests/bench_ipecamera.sh
+++ b/tests/ipecamera/bench.sh
diff --git a/tests/ipecamera/Reset_Init_all_reg_10bit.sh b/tests/ipecamera/cfg/Reset_Init_all_reg_10bit.sh
index f4afa06..f4afa06 100755
--- a/tests/ipecamera/Reset_Init_all_reg_10bit.sh
+++ b/tests/ipecamera/cfg/Reset_Init_all_reg_10bit.sh
diff --git a/tests/ipecamera/Reset_Init_all_reg_10bit_4Mp.sh b/tests/ipecamera/cfg/Reset_Init_all_reg_10bit_4Mp.sh
index 5a4e582..5a4e582 100755
--- a/tests/ipecamera/Reset_Init_all_reg_10bit_4Mp.sh
+++ b/tests/ipecamera/cfg/Reset_Init_all_reg_10bit_4Mp.sh
diff --git a/tests/ipecamera/Reset_Init_all_reg_11bit.sh b/tests/ipecamera/cfg/Reset_Init_all_reg_11bit.sh
index 3c4af0e..3c4af0e 100755
--- a/tests/ipecamera/Reset_Init_all_reg_11bit.sh
+++ b/tests/ipecamera/cfg/Reset_Init_all_reg_11bit.sh
diff --git a/tests/ipecamera/Reset_Init_all_reg_12bit.sh b/tests/ipecamera/cfg/Reset_Init_all_reg_12bit.sh
index b04f2cd..b04f2cd 100755
--- a/tests/ipecamera/Reset_Init_all_reg_12bit.sh
+++ b/tests/ipecamera/cfg/Reset_Init_all_reg_12bit.sh
diff --git a/tests/incomplete.sh b/tests/ipecamera/debug/incomplete.sh
index 5c80198..5c80198 100755
--- a/tests/incomplete.sh
+++ b/tests/ipecamera/debug/incomplete.sh
diff --git a/tests/frame.sh b/tests/ipecamera/frame.sh
index 679817b..679817b 100755
--- a/tests/frame.sh
+++ b/tests/ipecamera/frame.sh
diff --git a/tests/frame_req.sh b/tests/ipecamera/frame_req.sh
index bce89c3..bce89c3 100755
--- a/tests/frame_req.sh
+++ b/tests/ipecamera/frame_req.sh
diff --git a/tests/grabhw.sh b/tests/ipecamera/grab-hwtrigger.sh
index 0279ab4..0279ab4 100755
--- a/tests/grabhw.sh
+++ b/tests/ipecamera/grab-hwtrigger.sh
diff --git a/tests/stimuli.sh b/tests/ipecamera/stimuli.sh
index 33e99e1..33e99e1 100755
--- a/tests/stimuli.sh
+++ b/tests/ipecamera/stimuli.sh
diff --git a/tests/loopback-test.sh b/tests/ipecamera/tests/loopback-test.sh
index 09bfc82..1c033cc 100755
--- a/tests/loopback-test.sh
+++ b/tests/ipecamera/tests/loopback-test.sh
@@ -18,7 +18,7 @@ size=`expr 1024 "*" 1`
multiplier=2
wait=0
-/root/pcitool/tests/frame.sh &> /dev/null
+/root/pcitool/tests/ipecamera/frame.sh &> /dev/null
rm -f bench.out
pci --stop-dma dma1
diff --git a/tests/run-and-decode-test.sh b/tests/ipecamera/tests/run-and-decode-test.sh
index 5bf6513..d355475 100755
--- a/tests/run-and-decode-test.sh
+++ b/tests/ipecamera/tests/run-and-decode-test.sh
@@ -24,8 +24,8 @@ function reset {
}
-$TESTS_PATH/ipecamera/Reset_Init_all_reg_10bit.sh &> /dev/null
-/root/pcitool/tests/frame.sh &> /dev/null
+$TESTS_PATH/ipecamera/cfg/Reset_Init_all_reg_10bit.sh &> /dev/null
+$TESTS_PATH/ipecamera/frame.sh &> /dev/null
rm -f bench.out
pci --stop-dma